Background

ASML reduces DUV overlay error to 1 nanometer

René Raaijmakers
Reading time: 10 minutes

In a balancing game between precision and productivity, ASML has increased the throughput to 295 wafers per hour, while reaching an overlay error milestone of 1 nanometer in its new Twinscan NXT:2050i. The first 15 systems have already been shipped.

ASML’s engineers continue to improve the overlay in its most advanced immersion scanners. Since the introduction of the NXT:1950i platform in 2010, the overlay error dropped from 3 nanometers to 1.4 nanometers in the NXT:2000i two years ago. At the same time, the throughput increased from 175 to 275 wafers per hour.

“As always in our industry, we needed to do better,” says Bart Paarhuis, who presented the latest overlay results on ASML’s most recent DUV system, the Twinscan NXT:2050i, at the online Bits&Chips High Tech Systems 2021 conference. “Customers requested additional improvements. The logic manufacturers want better DUV-to-EUV matching for their 3-nanometer node and our memory customers want better overlay when patterning successive layers on the same chip by the same DUV scanner. And everyone is asking for higher productivity.”

This article is exclusively available to premium members of Bits&Chips. Already a premium member? Please log in. Not yet a premium member? Become one for only €15 and enjoy all the benefits.

Login

Related content